华信教育资源网
EDA技术与VHDL设计(第2版)
作   译   者:徐志军,王金明,尹廷辉 等 出 版 日 期:2015-02-01
出   版   社:电子工业出版社 维   护   人:王羽佳 
书   代   号:G0251780 I S B N:9787121251788

图书简介:

本书根据电子信息类课程教学和实验要求,以提高学生的实践动手能力和工程设计能力为目的,对EDA技术和VHDL设计的相关知识进行系统和完整的介绍。全书共10章,主要内容包括:EDA技术概述、可编程逻辑器件基础、典型FPGA/CPLD的结构与配置、原理图与宏功能模块设计、VHDL设计输入方式、VHDL结构与要素、VHDL基本语句与基本设计、VHDL设计进阶、数字接口实例及分析、通信算法实例及分析等。本书内容新颖,技术先进,由浅入深,既有关于EDA技术、大规模可编程逻辑器件和VHDL硬件描述语言的系统介绍,又有丰富的设计应用实例。本书提供配套电子课件、程序代码和习题参考答案。
定价 45.0
您的专属联系人更多
关注 评论(0) 分享
配套资源 图书内容 样章/电子教材 图书评价
  • 配 套 资 源
  • 图 书 内 容

    内容简介

    本书根据电子信息类课程教学和实验要求,以提高学生的实践动手能力和工程设计能力为目的,对EDA技术和VHDL设计的相关知识进行系统和完整的介绍。全书共10章,主要内容包括:EDA技术概述、可编程逻辑器件基础、典型FPGA/CPLD的结构与配置、原理图与宏功能模块设计、VHDL设计输入方式、VHDL结构与要素、VHDL基本语句与基本设计、VHDL设计进阶、数字接口实例及分析、通信算法实例及分析等。本书内容新颖,技术先进,由浅入深,既有关于EDA技术、大规模可编程逻辑器件和VHDL硬件描述语言的系统介绍,又有丰富的设计应用实例。本书提供配套电子课件、程序代码和习题参考答案。

    图书详情

    ISBN:9787121251788
    开 本:16开
    页 数:332
    字 数:600

    本书目录

    目    录
     
    第1章  EDA技术概述 1
    1.1  EDA技术及其发展历程 1
    1.2  EDA技术的特征和优势 3
    1.2.1  EDA技术的基本特征 4
    1.2.2  EDA技术的优势 6
    1.3  EDA设计的目标和流程 7
    1.3.1  EDA技术的实现目标 8
    1.3.2  EDA设计流程 8
    1.3.3  数字集成电路的设计 9
    1.3.4  模拟集成电路的设计 10
    1.4  EDA技术与ASIC设计 11
    1.4.1  ASIC的特点与分类 11
    1.4.2  ASIC的设计方法 12
    1.4.3  SoC设计 15
    1.5  硬件描述语言 18
    1.5.1  VHDL 18
    1.5.2  Verilog HDL 19
    1.5.3  AHDL 19
    1.5.4  Verilog HDL和VHDL的比较 20
    1.6  EDA设计工具 20
    1.6.1  EDA设计工具分类 21
    1.6.2  EDA公司与工具介绍 22
    习题1 25
    第2章  可编程逻辑器件基础 26
    2.1  概述 26
    2.1.1  可编程逻辑器件发展历程 26
    2.1.2  可编程逻辑器件分类 27
    2.1.3  可编程逻辑器件的优势 30
    2.1.4  可编程逻辑器件的发展趋势 30
    2.2  PLD器件的基本结构 32
    2.2.1  基本结构 32
    2.2.2  电路符号 33
    2.2.3  PROM 34
    2.2.4  PLA 35
    2.2.5  PAL 36
    2.2.6  GAL 37
    2.3  CPLD/FPGA的结构特点 39
    2.3.1  Lattice公司的CPLD/FPGA 39
    2.3.2  Xilinx公司的CPLD/FPGA 41
    2.3.3  Altera和Actel公司的CPLD/FPGA 44
    2.3.4  CPLD和FPGA的异同 45
    2.4  可编程逻辑器件的基本资源 45
    2.4.1  功能单元 46
    2.4.2  输入-输出焊盘 46
    2.4.3  布线资源 47
    2.4.4  片内RAM 49
    2.5  可编程逻辑器件的编程工艺 50
    2.5.1  熔丝型开关 50
    2.5.2  反熔丝型开关 51
    2.5.3  浮栅编程器件 51
    2.5.4  基于SRAM的编程器件 54
    2.6  可编程逻辑器件的设计与开发 54
    2.6.1  CPLD/FPGA设计流程 54
    2.6.2  CPLD/FPGA开发工具 57
    2.6.3  CPLD/FPGA的应用选择 59
    2.7  可编程逻辑器件的测试技术 61
    2.7.1  边界扫描测试原理 62
    2.7.2  IEEE 1149.1标准 62
    2.7.3  边界扫描策略及相关工具 66
    习题2 66
    第3章  典型FPGA/CPLD的结构与配置 68
    3.1  Stratix高端FPGA系列 68
    3.1.1  Stratix器件 68
    3.1.2  Stratix II器件 71
    3.2  Cyclone低成本FPGA系列 74
    3.2.1  Cyclone器件 74
    3.2.2  Cyclone II器件 78
    3.3  典型CPLD器件 84
    3.3.1  MAX II器件 84
    3.3.2  MAX 7000器件 85
    3.4  FPGA/CPLD的配置 87
    3.4.1  CPLD器件的配置 88
    3.4.2  FPGA器件的配置 89
    习题3 93
    第4章  原理图与宏功能模块设计 94
    4.1  Quartus II原理图设计 94
    4.1.1  半加器原理图输入 94
    4.1.2  半加器编译 97
    4.1.3  半加器仿真 99
    4.1.4  全加器设计与仿真 101
    4.2  Quartus II的优化设置 102
    4.2.1  Settings设置 102
    4.2.2  分析与综合设置 104
    4.2.3  优化布局布线 104
    4.2.4  使用设计助手检查设计可靠性 110
    4.3  Quartus II的时序分析 112
    4.3.1  时序设置与分析 112
    4.3.2  时序逼近 115
    4.4  宏功能模块设计 117
    4.4.1  Megafunctions库 117
    4.4.2  Maxplus2库 126
    4.4.3  Primitives库 127
    习题4 129
    第5章  VHDL设计输入方式 132
    5.1  Quartus II的VHDL输入设计 132
    5.1.1  创建工程文件 133
    5.1.2  编译 134
    5.1.3  仿真 136
    5.2  Synplify Pro的VHDL输入设计 137
    5.2.1  用Synplify Pro综合的过程 139
    5.2.2  Synplify Pro与Quartus II的接口 142
    5.3  Synplify的VHDL输入设计 143
    习题5 146
    第6章  VHDL结构与要素 147
    6.1  实体 147
    6.1.1  类属参数说明 148
    6.1.2  端口说明 149
    6.1.3  实体描述举例 150
    6.2  结构体 151
    6.2.1  结构体的命名 151
    6.2.2  结构体信号定义语句 152
    6.2.3  结构体功能描述语句 152
    6.2.4  结构体描述方法 152
    6.3  VHDL库 154
    6.3.1  库的种类 154
    6.3.2  库的用法 155
    6.4  VHDL程序包 157
    6.4.1  程序包组成和格式 157
    6.4.2  VHDL标准程序包 158
    6.5  配置 159
    6.5.1  默认配置 159
    6.5.2  结构体的配置 161
    6.6  VHDL文字规则 163
    6.6.1  标志符 163
    6.6.2  数字 164
    6.6.3  字符串 164
    6.7  VHDL数据类型 165
    6.7.1  预定义数据类型 165
    6.7.2  自定义数据类型 167
    6.7.3  用户自定义的子类型 169
    6.7.4  数据类型的转换 169
    6.8  VHDL操作符 171
    6.8.1  逻辑操作符 171
    6.8.2  关系操作符 171
    6.8.3  算术操作符 172
    6.8.4  并置操作符 173
    6.8.5  操作符重载 173
    6.9  数据对象 174
    6.9.1  常量 174
    6.9.2  变量 175
    6.9.3  信号 176
    6.9.4  文件 176
    习题6 177
    第7章  VHDL基本语句与基本设计 178
    7.1  顺序语句 178
    7.1.1  赋值语句 178
    7.1.2  IF语句 178
    7.1.3  CASE语句 181
    7.1.4  LOOP语句 182
    7.1.5  NEXT语句 184
    7.1.6  EXIT语句 184
    7.1.7  WAIT语句 185
    7.1.8  子程序调用语句 186
    7.2  并行语句 188
    7.2.1  并行信号赋值语句 188
    7.2.2  进程语句 191
    7.2.3  并行过程调用语句 192
    7.2.4  元器件例化语句 193
    7.2.5  生成语句 195
    7.3  VHDL组合逻辑电路设计 198
    7.4  VHDL时序逻辑电路设计 204
    7.4.1  触发器 204
    7.4.2  寄存器 206
    7.4.3  计数器 207
    7.4.4  分频器 208
    习题7 211
    第8章  VHDL设计进阶 212
    8.1  VHDL行为描述方式 212
    8.2  VHDL结构化描述方式 214
    8.3  VHDL RTL描述方式 217
    8.4  有限状态机(FSM)设计 218
    8.4.1  Moore和Mealy状态机的选择 218
    8.4.2  有限状态机的描述方式 220
    8.4.3  有限状态机的同步和复位 228
    8.4.4  改进的Moore型有限状态机 234
    8.4.5  小结 239
    习题8 240
    第9章  数字接口实例及分析 242
    9.1  ST-BUS总线接口设计 242
    9.1.1  ST-BUS总线时序关系 242
    9.1.2  ST-BUS总线接口实例 244
    9.2  数字复接分接接口技术及设计 248
    9.2.1  数字复接分接接口技术原理 249
    9.2.2  同步数字复接分接接口设计实例 250
    9.3  I2C接口设计 256
    9.3.1  I2C总线工作原理 257
    9.3.2  I2C总线接口设计实例 259
    9.4  GMSK基带调制接口设计 265
    9.4.1  GMSK调制基本原理 265
    9.4.2  GMSK调制实现的基本方法 267
    9.4.3  GMSK基带调制接口的实现代码 268
    习题9 286
    第10章  通信算法实例及分析 287
    10.1  伪随机序列的产生、检测设计 287
    10.1.1  m序列的产生 287
    10.1.2  m序列的性质 288
    10.1.3  m序列发生器的VHDL设计 288
    10.1.4  m序列检测电路的VHDL设计 290
    10.2  比特同步设计 294
    10.2.1  锁相功能的自同步法原理 294
    10.2.2  锁相比特同步的EDA实现方法 296
    10.3  基带差分编码设计 305
    10.3.1  PSK调制和差分编码原理 305
    10.3.2  PSK差分编码设计 308
    10.4  FIR滤波器设计 314
    10.4.1  FIR滤波器简介 314
    10.4.2  使用MATLAB设计FIR滤波器 316
    10.4.3  FIR滤波器的FPGA普通设计 317
    10.4.4  FIR滤波器的并行FPGA优化设计 319
    习题10 326
    参考文献 327
    展开

    前     言

    前  言
    EDA(Electronic Design Automation,电子设计自动化)技术是20世纪90年代以来迅速发展起来的电子设计新技术,它以可编程逻辑器件为载体,以计算机为工作平台,以EDA软件工具为开发环境,以硬件描述语言(HDL)为电子系统的功能描述方式,以电子系统设计为目标,在教学、科研,以及大学生电子设计竞赛等应用场合中起着越来越重要的作用。
    EDA技术目前成为电子类本科生必须掌握的专业基础知识与基本技能,国内许多高校的相关学科已将EDA技术作为一门重要的专业基础课程。随着教学改革的深入,对EDA课程教学的要求也在不断提高,为与EDA技术的发展相适应,必须对教学内容进行更新和优化。
    我们认为在EDA教学中应注意如下几点。
    首先,要明确最基本的教学内容,并突出重点。EDA技术教学的目的是使学生掌握一种通过软件的方法来高效地完成硬件设计的设计技术,应以培养学生的创新思维和设计思想为主,同时使学生掌握基本的设计工具和设计方法。
    其次,要改进教学方法。EDA教学应主要以引导性教学为主,合理安排理论教学和实验教学的学时比例,使学生能够理论联系实际,提高实践动手能力和工程设计能力。
    再次,要注重教学实效。EDA课程具有很强的实践性,针对性强的实验应该是教学的重要环节,应格外重视EDA实验的质量。
    基于以上的认识,我们安排了本书的章节,本书是以可编程逻辑器件、EDA设计工具、VHDL硬件描述语言三方面内容为主线展开的,贯穿其中的则是现代数字设计的新思想、新方法。
    本书是在普通高等教育“十一五”国家级规划教材《EDA技术与VHDL设计》基础上编写的,全书共10章,主要内容涵盖了EDA技术的硬件资源、软件操作和设计应用。
    第1章对EDA技术做了综述,介绍了EDA技术的发展、EDA设计流程及EDA技术涉及的领域。第2章介绍可编程逻辑器件的基本概念、结构组成和工作原理,可编程逻辑器件的编程工艺及测试技术等。第3章具体介绍Altera公司典型的FPGA/CPLD器件的结构与配置。第4章介绍使用集成工具Quartus II软件进行设计开发的过程,并介绍宏功能模块的设计与应用。第5章介绍基于VHDL的设计过程及VHDL综合工具的使用方法。第6章介绍VHDL的语法、结构与要素。第7章介绍VHDL的语句及常用组合电路、时序电路的VHDL设计。第8章结合具体实例介绍用VHDL进行设计的方法。第9章是用VHDL进行数字接口开发的实例。第10章是数字通信常用算法与模块的设计实例。
    为了方便使读者能够较系统、完整地学习EDA技术,掌握EDA设计基本技能,本书从教学的角度出发,尽量将有关EDA技术的内容编入书中,并力求内容精炼,语言通俗易懂。读者也可以根据实际需要,节选学习书中的部分内容,然后再通过相关EDA技术书籍的学习,达到掌握EDA技术的目的。
    本书的教学可安排32~40学时,其中第1章占2学时,第2章占4学时,第3章占4学时,第4章占4学时,第5章占4学时,第6章占4学时,第7章占4~6学时,第8章占2~4学时,第9章占2~4学时,第10章占2~4学时。建议安排8~16学时的实验,第一个实验可安排EDA工具软件的使用方法。此外,各学校也可根据自己的教学计划适当调整学时安排。本书提供配套电子课件、程序代码和习题参考答案等教学资源,请登录华信教育资源网(http://www.hxedu.com.cn)注册下载。
    本书由徐志军教授主编,并编写第1、2章,第3、4、5章由王金明编写,第6、7章由尹廷辉编写,徐光辉编写了第8章,苏勇编写了第9、10章,全书由徐志军统稿。南京航空航天大学的王成华教授审阅了全书,并提出了修改意见和建议,杭州电子科技大学的潘松老师也给予了支持和帮助,我们在此表示衷心的感谢!
    本书是几位老师在多年EDA教学经验的基础上精心编写而成的,虽经很大努力,但由于作者水平所限,加之时间仓促,书中错误与疏漏之处在所难免,真诚地希望同行和广大读者批评指正。
    
    作  者  
    2015年2月
    展开

    作者简介

    本书暂无作者简介
  • 样 章 试 读
  • 图 书 评 价 我要评论
华信教育资源网